Адміністрація вирішила продати даний сайт. За детальною інформацією звертайтесь за адресою: rozrahu@gmail.com

Вивчення інтегрованого середовища автоматизованого проектування Active-HDL фірми Aldec Inc

Інформація про навчальний заклад

ВУЗ:
Національний університет Львівська політехніка
Інститут:
Інститут комп’ютерних технологій, автоматики та метрології
Факультет:
КН
Кафедра:
Кафедра ЕОМ

Інформація про роботу

Рік:
2017
Тип роботи:
Лабораторна робота
Предмет:
Моделювання комп ютерних систем
Група:
КІ 21
Варіант:
5 1

Частина тексту файла

Міністерство освіти і науки України Національний університет «Львівська політехніка» Кафедра ЕОМ / Звіт до лабораторної роботи № 1 з дисципліни: «Моделювання комп'ютерних систем» «Вивчення інтегрованого середовища автоматизованого проектування Active-HDL фірми Aldec Inc» Варіант №5 Львів 2018 Мета: ознайомитись з принципами автоматизованого проектування ПЛІС за допомогою пакету Active-HDL, вивчити структуру VHDL-проекту, навчитися працювати з засобами управління проектом. 1. Опис засобів Active-HDL для управління проектами. Design Browse вікно перегляду проекту, призначене для для відображення інформації про складові елементи (компоненти) проекту: - файли опису пристрою, - використані в проекті бібліотеки, - допоміжні файли проекту, до яких належать макроси та скрипт-файли, файли результатів симуляції роботи пристрою, допоміжні текстові файли, - структуру проекту, - сигнали та змінні, декларовані в проекті. HDL Editor редактор HDL-тексту з можливістю відображення заданих синтаксичних конструкцій мови різними кольорами; завдяки інтеграції редактора із симулятором компонент дозволяє виконувати зручне покрокове відлагодження пристрою і швидко виявляти помилки. Console вікно призначене для інтерактивного виводу текстової інформації, зокрема повідомленнь середовища; компонент також призначений для вводу команд середовища (Active-HDL commands). Waveform Editor редактор, призначений для графічного відображення та редагування результатів симуляції - часових діаграм. Language Assistant компонент є зручним засобом, який дозволяє розробнику використовувати бібліотеку шаблонів опису стандартних примітивних конструкцій та функціональних блоків; Language Assistant дозволяє розміщувати вибрані шаблони безпосередньо в редагованому файлі та створювати свої власні шаблони. 2. Опис структури VHDL-проектy Яку б функцію не виконувала система, вона має отримувати деякі вхідні дані і виводити деякі вихідні результати. Іншими словами система має спілкуватися із середовищем. Комунікаційна частина системи називається інтерфейсом. Системнгий інтерфейс описується у VHDL через блок інтерфейсу (entity) або просто інтерфейс, який є базовим елементом проектування будь-якої системи. Як неможливо створити систему без інтерфейсу, так і неможливо створити VHDL-систему без блоку інтерфейса. Для досягнення певної функціональності дані повинні якось перетворюватись в середині системи. Ця трансформація даних і вивід очікуваних функцій виконується внутрішньою частиною системи, або тілом системи, яке називається архітектурою (architecture). 3. Перелік об’єктів проекту Modulator та їх інтерфейси До складу проекту Modulator входить чотири обє’кти. Дані об’єкти та їх інтерфейси є такими: sinGenerator.vhd – генератор синусоїдального сигналу; entity sinGenerator is port ( clk: in bit; sinFreq: in real; sinEnable: in real; SIN1: out real:=0.0 ); end sinGenerator; cosGenerator.vhd – генератор косинусоїдального сигналу; entity cosGenerator is port( clk: in bit; CosFreq: in integer; CosEnable: in real; COS1: out real:=0.0 ); end cosGenerator; sawGenerator.vhd – генератор пилообразного сигналу; entity sawGenerator is port ( clk: in bit; SawFreq: in integer; SawEnable: in real; SAW1: out real:=0.0 ); end sawGenerator; Multiplier.vhd – 3-ох операндна схема множення; entity Multiplier is port( clk: in bit; IN1: in real; IN2: in real; IN3: in real; OUT1: in real:=0.0 ); end Multiplier; / 4. Склад та структура проекту, сформованого в результаті роботи. Результатом виконання даної роботи стало створення VHDL-проекту. В проект увійшло три об’єкти: RS_user.vhd – RS-тригер створений вручну; RS_master.vhd - RS-тригер створений за допомогою Майстра об’єктів; Counter.vhd - 4-бітний лічильник створений за допомогою Майстра обєктів; 5. Перелік об'єктів проекту, сформованого в результаті роботи, та їх інтерфейси. Інтерфейси даних об’єктів: RS_user.vhd library IEEE; use IEEE.std_logic_1164.all; entit...
Антиботан аватар за замовчуванням

17.11.2018 21:11

Коментарі

Ви не можете залишити коментар. Для цього, будь ласка, увійдіть або зареєструйтесь.

Завантаження файлу

Якщо Ви маєте на своєму комп'ютері файли, пов'язані з навчанням( розрахункові, лабораторні, практичні, контрольні роботи та інше...), і Вам не шкода ними поділитись - то скористайтесь формою для завантаження файлу, попередньо заархівувавши все в архів .rar або .zip розміром до 100мб, і до нього невдовзі отримають доступ студенти всієї України! Ви отримаєте грошову винагороду в кінці місяця, якщо станете одним з трьох переможців!
Стань активним учасником руху antibotan!
Поділись актуальною інформацією,
і отримай привілеї у користуванні архівом! Детальніше

Оголошення від адміністратора

Антиботан аватар за замовчуванням

пропонує роботу

Admin

26.02.2019 12:38

Привіт усім учасникам нашого порталу! Хороші новини - з‘явилась можливість кожному заробити на своїх знаннях та вміннях. Тепер Ви можете продавати свої роботи на сайті заробляючи кошти, рейтинг і довіру користувачів. Потрібно завантажити роботу, вказати ціну і додати один інформативний скріншот з деякими частинами виконаних завдань. Навіть одна якісна і всім необхідна робота може продатися сотні разів. «Головою заробляти» продуктивніше ніж руками! :-)

Новини